Articles | Volume 19
https://doi.org/10.5194/ars-19-105-2021
https://doi.org/10.5194/ars-19-105-2021
17 Dec 2021
 | 17 Dec 2021

Using analog computers in today's largest computational challenges

Sven Köppel, Bernd Ulmann, Lars Heimann, and Dirk Killat

Related authors

Hybrid integrators with predictive overload estimation for analog computers and continuous-time ΔΣ modulators
Dirk Killat, Bernd Ulmann, and Sven Köppel
Adv. Radio Sci., 21, 89–100, https://doi.org/10.5194/ars-21-89-2023,https://doi.org/10.5194/ars-21-89-2023, 2023
Short summary

Cited articles

Amant, R., Yazdanbakhsh, A., Park, J., Thwaites, B., Esmaeilzadeh, H., Hassibi, A., Ceze, L., and Burger, D.: General-purpose code acceleration with limited-precision analog computation, in: ACM/IEEE 41st International Symposium on Computer Architecture (ISCA), vol. 42, pp. 505–516, https://doi.org/10.1109/ISCA.2014.6853213, 2014. a
Bishop, K. and Green, D.: Hybrid Computer Impelementation of the Alternating Direction Implicit Procedure for the Solution of Two-Dimensional, Parabolic, Partial-Differential Equations, AIChE Journal, 16, 139–143, https://doi.org/10.1002/aic.690160126, 1970. a
Bournez, O. and Pouly, A.: A Survey on Analog Models of Computation, in: Handbook of Computability and Complexity, Springer, Cham, pp. 173–226, https://doi.org/10.1007/978-3-030-59234-9_6, 2021. a
Breems, L., Bolatkale, M., Brekelmans, H., Bajoria, S., Niehof, J., Rutten, R., Oude-Essink, B., Fritschij, F., Singh, J., and Lassche, G.: A 2.2 GHz Continuous-Time Delta Sigma ADC With −102 dBc THD and 25 MHz Bandwidth, IEEE J. Solid-St. Circ., 51, 2906–2916, https://doi.org/10.1109/jssc.2016.2591826, 2016. a
Brezis, H. and Browder, F.: Partial Differential Equations in the 20th Century, Adv. Math., 135, 76–144, https://doi.org/10.1006/aima.1997.1713, 1998. a
Download
Short summary
This paper discusses modern applications for high performance analog and hybrid computer. In the first part, we do a theoretical prediction concerning the properties of these machines. In the second part, we compare such a computer against a standard laptop CPU. We find that the even a rather simple analog computer is actually on a par. In the third part, we give an outlook how future highly integrated analog computers could be used to tackle very large computational problems.